Chip Directory
Mirror sites
Mailing list
Have this site translated
 Datasheet Archive
 Embedded Systems
Host site

Projects

Build stuff yourself

local Introduction to building an MCU* system yourself
local Sample 8085 hobby project by Lewis Stockill, that I helped debug
www.psyber.com/~dibsed/CIRCATS/ Similar Z80 project, see the 00index.txt and z80*.* files
www.rentron.com/ Lot of other projects
www.spacetimepro.com/dyop.htm Design your own processor in FPGA*!
www.thesis.cjb.net/ Design your own processor in FPGA*!
www.robotprojects.com/ Robot projects

An interesting e-mail

Date:    20001027
From:    WAM
To:      The 68hc11 mailing list
Subject: Re: CPU* schematics - VHDL

I would like to obtain some full-size (D or E size) digital logic schematics for a typical CPU* or MCU*.

I realy don't think they exist; typical chip design is done with HDL's and not schematic entry. If you want to teach how chips are designed and how complex they can be schematically, download the free versions of MAX-PLUS II and FPGA* EXPRESS from Altera's web site. Have the students open or start a new project based on the mult_hdl.vhd file in FPGA* EXPRESS sample directory. Have them look at the simple entity declaration in the source file:

entity mult is
port(
a, b : in std_logic_vector(7 downto 0);
prod : out std_logic_vector(15 downto 0)
);
end mult;

Also have them check out the single behavioral statement in the architecture: prod <= a*b;

Then have them right click on the source file listing in the tree on the left pane and select "Create Implementation...". Use the default auto target device from the MAX 7000S series. Two entries in the right pane will be created. Then right click on the "mult-optimised" version in the right pane and select "View Schematic". This will show a high level block with the external pin connections. Double click on that block and you will see the increasing complexity. You can double click each block until you get to the gate level of each product term. You will see that to perform a simple multiplication of two 8-bit values to a 16-bit result in hardware is more than any manual schematic entry method could accomplish and still minimize the possibility of design errors.

This is why all students who are looking to get into hardware should be made aware that the increasing demands and complexity of today's electronics typically preclude the use of schematic entry in chip design. In addition, there is an open source organization that is developing various cores for use under an open source agreement. You may find the source for a CPU* there. www.opencores.org. This is similar to the open source movement for operating systems (ie Linux, Open/Free/NetBSD's, etc..) except that it involves HDLS for various VLSI designs including MIPS*, RISC* and other IC*'s...

Interesting side note: on the IBM research site:
www.research.ibm.com/topics/serious/chip/
Go to PICA link on the right. This shows how photons going through the junctions of a chip emit photons.
Click the demo link in the pop-up window you will find two example MPEGS. The most interesting is the IBM S/390. Show your students how the clock distributes and note the timer readout on the bottom left.

Pretty cool...

WAM
home1.gte.net/wamnet


Ad for PCI video capturing boards by Dektec.
Goto: Main Mirror About Author
Register: Yourself Company
Feedback: Correction Addition Question
Request quote: Chips (Deutsch) Chips (English) Chips (Nederlands)

Advertisement by Adprov

Viewable with any browser


page modified: 20040709
page compiled: 20080306
site compiled:  Version GIF